реклама
Теги → tsmc
Быстрый переход

За пять лет TSMC увеличит объёмы выпуска продукции по зрелым техпроцессам на 50 %

Предсказуемо больше говоря о своих планах по освоению передовой литографии, компания TSMC традиционно старалась не менее 10 % своих капитальных затрат направлять на развитие производства специализированных чипов, которые характеризуются сочетанием низкого энергопотребления и умеренной себестоимости. К 2028 году компания рассчитывает увеличить объёмы выпуска такой продукции на 50 %.

 Источник изображения: TSMC

Источник изображения: TSMC

Обычно, как поясняет AnandTech после изучения материалов Европейского технологического симпозиума TSMC, для выпуска подобных изделий компания использовала зрелые техпроцессы, которые осваивались на предприятиях, ранее выпускавших продвинутую для своего периода продукцию, но по мере переноса прогрессивных технологий на новые площадки более старые сосредотачивались на выпуске специализированных чипов.

В ближайшие пять лет, как пояснил старший вице-президент TSMC Кевин Чжан (Kevin Zhang), компания собирается увеличить объёмы выпуска специализированных чипов в полтора раза. Что характерно, впервые за долгое время для этого будут построены предприятия, которые изначально ориентированы под выпуск такой продукции. Впрочем, среди них появятся и те, которые будут использовать достаточно современный техпроцесс N4e, изначально разработанный для данной сферы применения с учётом низкого энергопотребления производимых чипов.

Сейчас среди аналогичных техпроцессов TSMC самым продвинутым является N6e, который позволяет чипам работать при диапазоне напряжений от 0,4 до 0,9 В. После освоения техпроцесса N4e компания рассчитывает опустить напряжения ниже отметки 0,4 В. О сроках внедрения такого техпроцесса чёткой информации нет, но надо понимать, что освоен он будет до 2028 года. Скорее всего, подробности будут раскрыты в следующем году. Как уже не раз отмечали представители TSMC, их не очень беспокоит активная экспансия производства чипов с использованием зрелых техпроцессов китайскими конкурентами. TSMC основную часть своих специализированных чипов поставляет клиентам, с которыми связана долгосрочными контрактами, а потому отток заказчиков к китайским соперникам не столь вероятен.

TSMC запустит массовое производство по оптимизированному 3-нм техпроцессу N3P уже в этом году

На традиционном весеннем технологическом симпозиуме TSMC представила обновлённую информацию о состоянии своих текущих и будущих 3-нм техпроцессов. Технология N3E применяется в серийном производстве с четвёртого квартала 2023 года, в этом году будет запущено массовое производство по техпроцессу N3P, который сохранит преемственность по технологической оснастке и средствам проектирования. Кроме того, N3P призван обеспечить снижение уровня брака при производстве чипов.

 Источник изображения: TSMC

Источник изображения: TSMC

TSMC сообщает о высоком уровне выхода годной продукции у 3-нм технологического процесса второго поколения N3E. По данным компании, плотность дефектов D0 в N3E находится на одном уровне с 5-нм техпроцессом N5. Это немалое достижение, учитывая дополнительные сложности, связанные с разработкой последнего, ещё более совершенного поколения технологии FinFET. Передовые клиенты TSMC, такие как Apple, только что выпустившая процессор M4, смогут относительно быстро воспользоваться преимуществами улучшенного технологического узла.

Техпроцесс N3E представляет собой упрощённую версию N3B, в которой исключены некоторые уровни EUV и не используется двойное экспонирование. Это снижает себестоимость производства и увеличивает производительность, хотя за это приходится платить некоторым снижением плотности транзисторов. В отличие от оригинального N3B, чей производственный цикл будет относительно коротким, поскольку единственным его крупным заказчиком выступила Apple, N3E будет востребован широким кругом клиентов TSMC, в том числе многими крупнейшими разработчиками чипов.

На сегодняшний день N3P завершил весь квалификационный цикл испытаний, по данным компании его показатели выхода годной продукции будут близки к N3E. Благодаря применению оптической усадки, техпроцесс N3P позволяет разработчикам процессоров либо увеличивать производительность на 4 % при тех же токах утечки, либо снижать энергопотребление на 9 % при тех же тактовых частотах. N3P также призван увеличить плотность транзисторов на 4 % для «смешанной» конструкции чипа, к которой TSMC относит процессоры, состоящие на 50 % из логических схем, на 30 % из SRAM и на 20 % из аналоговых схем.

Поскольку N3P является дальнейшим развитием N3E, он совместим со своим предшественником с точки зрения IP-блоков, правил процессов, инструментов разработки и методологии электронного проектирования (EDA). TSMC ожидает, что к концу года на большей части производства будет использоваться N3P, так как он обеспечивает более высокую производительность при меньших затратах.

На стройке TSMC в Аризоне взорвалась цистерна, но на ход работ это не повлияет

По условиям договорённости тайваньской TSMC с властями США, эта компания должна построить в штате Аризона к 2028 году три предприятия по контрактному производству чипов, и самое позднее из них должно обеспечить выпуск 2-нм продукции. Накануне на стройплощадке произошёл взрыв цистерны, который унёс жизнь водителя. Вместе с тем компания заявила, что на темпы строительства предприятия это не повлияет.

 Источник изображения: TSMC

Источник изображения: TSMC

Подробности об инциденте появились на страницах сайта Bloomberg с подачи представителей профсоюза, которые получили всю информацию о произошедшем в минувшую среду на строительной площадке несчастном случае. Водитель автоцистерны с химическими отходами, удалившись от строительной площадки на некоторое расстояние, заподозрил развитие аномальной ситуации. Он остановил машину и вышел из кабины для осмотра цистерны, и в этот момент неконтролируемый сброс давления, как отмечается в отчёте полиции, сбил водителя с ног неким тупым предметом и отбросил его на расстояние около шести метров. Пострадавший был доставлен в больницу, где скончался от полученных травм.

Компания TSMC от развёрнутых комментариев по этому инциденту отказалась, как отмечает Reuters, но подчеркнула, что на строительную деятельность в Аризоне он никак не повлиял. Строительные конструкции повреждены не были, прочие работники площадки никак не пострадали, а рабочие процессы никак не были нарушены в результате происшествия. Сотрудники TSMC, привлечённые к настройке и монтажу оборудования на строящихся предприятиях в Аризоне, тоже не пострадали. Напомним, что при возведении предприятий в этом штате TSMC столкнулась с дефицитом рабочей силы, поэтому реализация проекта идёт с некоторым отставанием от первоначального графика.

TSMC обещает приступить к строительству предприятия по производству чипов в Германии в четвёртом квартале

Крупнейший контрактный производитель чипов TSMC объявил о планах запустить в четвёртом квартале 2024 года строительство своего первого завода по производству полупроводниковых компонентов в Европе. Предприятие стоимостью 11 млрд долларов будет расположено в немецком городе Дрезден и начнёт производство чипов в 2027 году.

 Источник изображения: TSMC

Источник изображения: TSMC

По сообщению Reuters, объект получил название European Semiconductor Manufacturing Co (ESMC). Как заявил на конференции в Нидерландах Пол де Бот (Paul de Bot), глава европейского подразделения TSMC, работы начнутся по графику. Инвестиции в проект составят 11 миллиардов долларов, и в финансировании также примут участие местные технологические компании — Infineon, NXP и Robert Bosch, которые вложат по 10 % от общей суммы инвестирования и получат пропорциональные доли в будущем совместном предприятии.

По словам старшего вице-президента Кевина Чжана (Kevin Zhang), курирующего международные отношения TSMC, компания уверена в получении государственных субсидий на строительство в рамках принятого в ЕС закона о стимулировании производства чипов. Хотя официального решения ещё нет, проект пользуется сильной поддержкой властей Германии и Евросоюза. Так что в выделении необходимых льгот можно не сомневаться.

На предприятии ESMC будут выпускаться чипы по 22-нм техпроцессу. Эту технологию TSMC впервые представила ещё в середине 2010-х годов. «Завод позволит внедрить самую передовую технологию в сердце автомобильной промышленности», — сказал Чжан, имея в виду блоки микроконтроллеров, которые используются в автомобилях для управления тормозами, датчиками, окнами, стеклоочистителями и прочим.

Чжан не исключил, что в будущем TSMC может увеличить масштабы своего присутствия в Европе. В частности, построить дополнительные заводы, способные производить ещё более совершенные чипы по передовым техпроцессам. По его словам, примерно так же развивалось присутствие компании в Японии, когда в 2021 году там началось строительство первого завода TSMC, а в этом году компания объявила о планах строительства второго, более современного японского предприятия. По соседству не исключается и появление третьего — по крайней мере, японские власти на этом настаивают.

TSMC настаивает, что сможет освоить технологию A16 без оборудования для High-NA EUV

В конце апреля старший вице-президент TSMC Кевин Чжан (Kevin Zhang) уже признавался, что не считает целесообразным внедрять литографическое оборудование ASML с высоким значением числовой апертуры (High-NA) при производстве чипов по технологии A16, которая будет освоена во второй половине 2026 года. На этой неделе он повторил данный тезис, назвав соответствующее оборудование слишком дорогим.

 Источник изображения: ASML

Источник изображения: ASML

«Мне нравятся возможности High-NA EUV, но не нравится ценник», — пояснил Кевин Чжан на технологическом симпозиуме в Нидерландах. Оборудование ASML нового поколения, о котором идёт речь, способно изготовить полупроводниковые элементы толщиной всего 8 нм, что в 1,7 раза меньше, чем получается при использовании литографических сканеров предыдущего поколения, но стоимость одной такой системы достигает $380 млн против примерно $216 млн у обычной.

Напомним, что ASML сейчас тестирует один из таких литографических сканеров для работы с High-NA EUV, второй установлен в исследовательском центре Intel в штате Орегон, а третий должна получить бельгийская Imec, которая является одним из партнёров японского консорциума Rapidus, рассчитывающего к 2027 году начать выпуск 2-нм продукции на территории Японии. Корпорация Intel будет экспериментировать с таким оборудованием в рамках технологии 18A, но в серийном производстве внедрит не ранее 2027 года, когда начнёт осваивать технологию Intel 14A. По слухам, Intel даже выкупила весь тираж литографических сканеров ASML нового поколения на этот год, чтобы обеспечить себя необходимым оборудованием.

Как уже отмечал ранее представитель TSMC, этот крупнейший тайваньский контрактный производитель чипов рассчитывает обойтись возможностями имеющегося оборудования. Кевин Чжан пояснил, что предприятия TSMC, на которых будет использоваться техпроцесс A16, могут быть приспособлены для дальнейшей установки оборудования с High-NA EUV, но когда оно потребуется в действительности, компания сказать не может. Сроки внедрения данной технологии на предприятиях TSMC будут определяться балансом технических характеристик выпускаемых чипов и экономическими факторами. Вся отрасль, по его словам, сталкивается с ростом затрат на строительство, оснащение и эксплуатацию предприятий по выпуску чипов.

SMIC вышла на второе место среди мировых контрактных производителей чипов, несмотря на санкции США

Тайваньская компания TSMC контролирует более половины мирового рынка услуг по контрактному производству чипов, её сильными соперниками исторически считались Samsung и UMC, но итоги первого квартала позволили китайской SMIC выйти на второе место в мире среди «чистокровных» контрактных производителей чипов. Intel и Samsung в этот рейтинг попасть не имеют права, поскольку являются вертикально интегрированными производителями чипов.

 Источник изображения: SMIC

Источник изображения: SMIC

С неожиданной стороны на квартальную отчётность китайской SMIC решили посмотреть представители сайта Tom’s Hardware. Они утверждают, что эта компания со своей выручкой за первый квартал текущего года в размере $1,75 млрд вполне заслуживает права считаться вторым по величине выручки в мире «чистокровным» контрактным производителем после тайваньской TSMC. Правда, последняя в первом квартале выручила $18,87 млрд, поэтому разрыв между первым и вторым местом более чем десятикратный.

К слову, если всё-таки вернуть в этот рейтинг контрактные подразделения Intel и Samsung, то выяснится, что первое выручило по итогам квартала $4,4 млрд, а второе ограничилось $3,38 млрд. В таком варианте сравнения второе место среди контрактных производителей достаётся Intel, хотя эта компания основную часть выручки на данном направлении буквально передаёт «сама себе». Если учесть, что контрактное подразделение Intel в первом квартале сработало с $2,5 млрд чистых убытков, выгодным подобный бизнес для материнской корпорации пока признать невозможно. Выручку контрактного подразделения Samsung можно определить лишь по косвенным данным — в целях данного сравнения предполагалось, что в первом квартале текущего года она не превысила $3,38 млрд, и основная часть этих средств получена от других подразделений Samsung Electronics.

Среди «чистокровных» контрактных производителей SMIC ранее не столь успешно конкурировала с UMC, но теперь обошла её по выручке, поскольку этот представитель тайваньской полупроводниковой отрасли в первом квартале получил не более $1,71 млрд. GlobalFoundries и вовсе сократила выручку на 16 % по итогам первого квартала до $1,549 млрд.

SMIC удалось поднять выручку по итогам первого квартала в годовом сравнении на 19,7 % до $1,75 млрд, но выросшие чуть ли не в два раза до $2,235 млрд капитальные затраты таят серьёзную угрозу для прибыльности бизнеса компании. Выпуск чипов по передовой для SMIC 7-нм технологии дорого ей даётся, а потому даже рост выручки на этом основании не всегда способен обеспечить адекватную финансовую отдачу. Тем более, что конкуренты в Китае стараются сбивать цены на свои услуги, пытаясь переманить часть стратегически важных для SMIC заказов на десятки миллионов долларов США в квартал.

Выручка TSMC выросла на 60 % по итогам апреля, и не только за счёт ИИ

Март этого года уже принёс тайваньской TSMC на 34,3 % больше выручки, а теперь уверенности в сохранении высокой динамики её роста добавляет апрельская статистика, на которую ссылается Bloomberg. Компании по итогам прошлого месяца удалось увеличить выручку на 60 % до $7,3 млрд.

 Источник изображения: Micron Technology

Источник изображения: Micron Technology

По мнению Bloomberg, такая динамика апрельской выручки определялась не только бумом систем искусственного интеллекта, который поддерживал финансовые показатели TSMC на протяжении всего прошлого года. В потребительском сегменте рынка, в основном представленном смартфонами, в этом году наметились признаки оживления спроса, включая и китайский сегмент, отличающийся высокой конкуренцией.

По итогам всего второго квартала TSMC может увеличить выручку на треть, за счёт хорошей динамики спроса как в потребительском сегменте, так и на направлении высокопроизводительных вычислений, связанном с системами искусственного интеллекта. Ожидания инвесторов уже были отражены в динамике курса акций TSMC, который в апреле обновил исторический максимум. Компания продолжает оставаться основным подрядчиком Nvidia по выпуску чипов для ускорителей вычислений, используемых в серверной инфраструктуре систем искусственного интеллекта.

TSMC начала выпускать гигантские чипы для суперкомпьютера Tesla Dojo

Недавнее упоминание TSMC о методах производства чипов с высокой степенью интеграции для суперкомпьютера Tesla Dojo, который Tesla будет использовать для развития своих систем искусственного интеллекта, имело вполне прагматичный повод. Как стало известно на этой неделе, TSMC уже приступила к производству чипов Tesla, использующих метод упаковки CoW-SoW.

 Источник изображения: TSMC

По данным тайваньских СМИ, компания TSMC уже приступила к производству чипов Dojo D1 для нужд компании Tesla. По своей вычислительной производительности они будут превосходить существующие системы более чем в 40 раз. Новая технология упаковки позволяет создавать логические процессоры в масштабе целой кремниевой пластины типоразмера 300 мм. В массовом производстве TSMC собирается освоить данный метод упаковки и интеграции к 2027 году.

На одной пластине процессоры Dojo объединяются в массив размером 5 на 5 штук. До 60 микросхем памяти типа HBM могут располагаться на такой кремниевой пластине. Tesla собирается вложить в развитие суперкомпьютера Dojo в Нью-Йорке не менее $500 млн. На этом пути её мешают различные препятствия. Например, в декабре прошлого года штат компании покинули два крупных специалиста по разработке данного суперкомпьютера.

Предполагается, что запуск Dojo будет иметь критическое значение для вывода на рынок роботизированного такси Tesla, формальный анонс которого намечен на 8 августа текущего года. Если суперкомпьютер Dojo расположится в Нью-Йорке, то его вычислительный компаньон, построенный на ускорителях Nvidia, будет работать рядом со штаб-квартирой компании в штате Техас. Центр обработки данных в Остине будет потреблять до 100 МВт мощности.

TSMC научилась создавать монструозные двухэтажные процессоры размером с пластину

Компания TSMC представила новое поколение платформы «система-на-пластине» (System-On-Wafer) CoW-SoW, в которой применяется технология 3D-компоновки. Основой CoW-SoW является платформа InFO_SoW, представленная компанией в 2020 году, и позволяющая создавать логические процессоры в масштабе целой 300-мм кремниевой пластины. К настоящему моменту только компания Tesla адаптировала эту технологию. Она применяется в её суперкомпьютере Dojo.

 Источник изображений: TSMC

Источник изображений: TSMC

В новой платформе CoW-SoW компания TSMC собирается объединить два метода упаковки — InFO_SoW и System on Integrated Chips (SoIC). Благодаря использованию технологии Chip-on-Wafer (CoW) метод позволит размещать память и/или логику непосредственно поверх «системы на пластине». Ожидается, что новая технология CoW-SoW будет готова к массовому производству к 2027 году.

«В будущем метод интеграции в масштабе пластины позволит нашим клиентам объединять ещё больше логических компонентов и памяти. Технология SoW — больше не фикция. Это то, над чем мы уже работаем с нашими клиентами для дальнейшей перспективы её использования в их существующих продуктах. Мы считаем, что передовая технология интеграции на уровне пластин предоставит нашим клиентам возможность продолжать наращивать вычислительные мощности их ИИ-систем или суперкомпьютеров», — сказал Кевин Чжан, вице-президент по развитию бизнеса в TSMC.

Сейчас TSMC рассматривает возможность объединения в рамках платформы CoW-SoW логических процессоров с высокопроизводительной памятью HBM4. Последняя будет иметь 2048-битный интерфейс и располагаться непосредственно поверх логических микросхем. В то же время возможность размещения дополнительной логики на пластине позволила бы оптимизировать производственные издержки.

Процессоры масштаба целой кремниевой пластины (например, WSE компании Cerebras), а также процессоры на платформе InFO_SoW обеспечивают значительные преимущества в вопросе производительности и эффективности за счёт высокой пропускной способности, низкой задержки в межъядерных связях, низкого сопротивления при передаче энергии и высокой энергоэффективности. В качестве дополнительного «бонуса» такие процессоры предлагают возможность размещения огромного количества вычислительных ядер.

Однако у той же технологии InFO_SoW имеются и некоторые ограничения. Например, эффективность процессоров масштаба кремниевой пластины может ограничиваться эффективностью набортной памяти. Платформа CoW-SoW позволяет обойти это ограничение, поскольку в ней планируется применение высокопроизводительной памяти HBM4. В дополнение к этому обработка пластин InFO_SoW осуществляется с применением только одного технологического процесса, и он не поддерживает трёхмерную компоновку. Этот вопрос позволяет решить новая платформа CoW-SoW.

TSMC не потребуется оборудование High-NA EUV для выпуска чипов по технологии A16

Представители TSMC вчера сделали ряд заявлений, не только подтвердив намерения начать выпуск 2-нм продукции до конца следующего года, но и пообещав внедрить технологию A16 с опережением первоначального графика уже во второй половине 2026 года. При этом ей не потребуется дорогое оборудование с высоким значением числовой апертуры, которое намерена использовать для техпроцесса Intel 14A одноимённая компания.

 Источник изображения: TSMC

Источник изображения: TSMC

Кевин Чжан (Kevin Zhang), старший вице-президент TSMC по развитию бизнеса, в интервью Reuters отметил, что компания ускорила освоение техпроцесса A16 по требованию неких разработчиков чипов для систем искусственного интеллекта. Он также выразил уверенность, что TSMC не потребуются литографические сканеры ASML с высоким значением числовой апертуры (High-NA EUV), которые стоят около $380 млн за штуку, для производства чипов по технологии A16. В этом отношении TSMC демонстрирует другой подход по сравнению с Intel, которая такое оборудование ASML уже начала испытывать, пусть и в единичном экземпляре, чтобы провести эксперименты ещё в рамках технологии 18A, а потом внедрить к 2027 году уже в рамках серийной версии техпроцесса 14A. Несмотря на высокие капитальные затраты, Intel считает переход на использование литографических сканеров с высоким значением числовой апертуры важным этапом достижения преимущества над конкурентами по себестоимости изготавливаемой продукции.

Компания TSMC в рамках техпроцесса A16 будет использовать литографические сканеры существующего поколения, как можно понять со слов руководства. Разного рода ухищрения с шаблонами, подбор новых химикатов и расходных материалов, а также использование искусственного интеллекта для поиска дефектов позволят TSMC обойтись без более дорогого оборудования при производстве 1,6-нм чипов. Впрочем, подводить питание с оборотной стороны кремниевой пластины TSMC в рамках технологии A16 всё равно будет, поэтому серьёзные новшества в этом смысле всё-таки будут предусмотрены.

В годовом отчёте TSMC отмечается, что компания будет изучать возможность использования литографического оборудования следующего поколения в рамках техпроцесса A14 и более новых, но просто на этапе A16 его применение не кажется экономически целесообразным. Представители TSMC уже высказывались на эту тему, когда впервые стало известно о намерениях Intel перейти на использование сканеров с высоким значением числовой апертуры. Попутно компания будет работать над совершенствованием фотошаблонов и расходных материалов для обработки кремниевых пластин, поэтому сугубо переходом на новые литографические сканеры дело в будущем не ограничится.

TSMC представила техпроцесс N4C — благодаря ему 4-нм чипы станут дешевле

Компания TSMC представила новый техпроцесс класса 4–5 нм — N4C. Он призван снизить себестоимость продукции на его основе на 8,5 % по сравнению с процессом N4P, при этом сохранив преемственность по технологической оснастке и средствам проектирования. Кроме того, N4C призван обеспечить снижение уровня брака при производстве чипов.

 Источник изображений: TSMC

Источник изображений: TSMC

«Мы пока не закончили с нашими 5-нм и 4-нм технологиями. При переходе с N5 на N4 мы добились 4-процентной оптической усадки и продолжили улучшать характеристики транзисторов. Теперь мы добавляем N4C в наш портфель технологий 4 нм. N4C позволяет нашим клиентам сократить расходы за счёт сокращения количества используемых масок, а также улучшения исходной конструкции полупроводников, например, стандартных ячеек и SRAM, чтобы ещё больше снизить общие эксплуатационные издержки», — заявил Кевин Чжан (Kevin Zhang), старший вице-президент по развитию бизнеса TSMC на недавно состоявшемся Североамериканском технологическом симпозиуме.

Узел N4C является частью семейства техпроцессов TSMC N5/N4 и основан на технологии N4P. Внедрение новой технологии является важным стратегическим шагом для TSMC, поскольку N4C даёт возможность значительно снизить затраты на производство продуктов на основе 4-нм техпроцесса и тем самым стимулировать расширение базы клиентов компании, желающих перейти на новый и недорогой техпроцесс. Новая технология предлагает баланс между энергоэффективностью, производительностью и стоимостью внедрения.

Учитывая высокие затраты, связанные с нормами класса 3 нм, и их относительно ограниченные преимущества перед такими технологиями, как N4P, с точки зрения производительности и плотности транзисторов, N4C может стать весьма популярным выбором среди клиентов TSMC.

Компания планирует начать массовое производство чипов с использованием техпроцесса N4C в 2025 году. К тому моменту за плечами TSMC будет шесть лет производства продуктов на основе 5-нм техпроцессов. Производитель ожидает, что к этому времени он сможет выйти на хороший уровень выпуска качественной продукции на основе N4C и снизить её стоимость. А поскольку к 2025 году многие инструменты для выпуска продуктов на основе 5-нм техпроцесса «устареют», N4C и аналогичные узлы могут оказаться чуть ли не единственными экономически доступными альтернативами.

TSMC пообещала освоить 2-нм техпроцесс в 2025 году, а 1,6-нм техпроцесс — на год позднее

Активность Intel по возвращению себе технологического лидерства в сфере литографии ко второй половине десятилетия не могла остаться без ответа действующего лидера в лице тайваньской компании TSMC, а потому на этой неделе она заявила, что собирается освоить выпуск 1,6-нм чипов ко второй половине 2026 года.

 Источник изображения: TSMC

Источник изображения: TSMC

Для этих заявлений руководством TSMC была использована площадка Североамериканского технологического симпозиума в Калифорнии, что косвенно намекало не только на соперничество с Intel в этой сфере, но и на готовность TSMC внедрять передовую технологию на американской земле. Напомним, что обязательство наладить выпуск в США чипов по 2-нм технологии в этом десятилетии стало для TSMC одним из условий получения субсидий от властей страны. Пока нет информации на тот счёт, будет ли 1,6-нм техпроцесс освоен американскими предприятиями TSMC, и в какие сроки это произойдёт.

Представители TSMC лишь пояснили, что 1,6-нм технология способна значительно увеличить плотность размещения логических элементов и их быстродействие по сравнению с техпроцессом N2P. В частности, скорость переключения транзисторов вырастет на 8-10 % при неизменном напряжении, энергопотребление удастся снизить на 15-20 % при том же быстродействии, а в серверном сегменте плотность размещения транзисторов удастся увеличить в 1,1 раза. Попутно сообщается, что помимо структуры транзисторов с окружающим затвором, которую конкурирующая Samsung начала использовать ещё в рамках своего 3-нм техпроцесса, компания TSMC при выпуске чипов по технологии A16 будет использовать и подвод питания с оборотной стороны кремниевой пластины. Intel такое решение намеревается использовать при выпуске чипов по своим технологиям 20A и 18A с 2025 года.

Компания TSMC сообщает, что техпроцесс N2 должен быть освоен в массовом производстве во второй половине 2025 года, после этого производитель займётся техпроцессом A16. В 2025 году компания также собирается освоить техпроцесс N4C, который от N4P будет отличаться сниженной на 8,5 % себестоимостью производства чипов при невысокой сложности внедрения. Кроме того, выход годной продукции по этому техпроцессу должен стать выше.

По словам представителей TSMC, компания ускорила разработку технологии A16 с учётом потребностей неких компаний, интересующихся возможностью выпуска чипов для систем искусственного интеллекта с её помощью. Примечательно, что литографические сканеры с высоким значением числовой апертуры (High-NA EUV) для выпуска 1,6-нм продукции TSMC, скорее всего, не потребуются. Первыми клиентами TSMC по техпроцессу A16 как раз станут разработчики ускорителей вычислений, а на процессоров для смартфонов, как это происходило обычно.

Напомним, Intel собирается освоить техпроцесс 14A к концу 2026 года или в начале 2027 года, но различия в подходе производителей к оценке основных геометрических параметров своих литографических технологий не позволяет напрямую сопоставлять решения разных производителей. В любом случае, TSMC освоит техпроцесс A16 к 2026 году, а Samsung собирается наладить выпуск чипов 1,4-нм класса к 2027 году.

Будет TSMC совершенствовать и технологию интеграции полупроводниковых компонентов. К 2027 году будет освоена разновидность технологии CoWoS, позволяющая интегрировать на уровне кремниевой пластины чипы с несколькими разнородными кристаллами, память типа HBM и прочие компоненты. К концу следующего года будут сертифицированы новые методы упаковки чипов, которые будут использоваться в автомобильном сегменте с его повышенными требованиями к надёжности и безопасности. Интеграция кремниевой фотоники тоже будет эволюционировать и к 2026 году обеспечит прямую интеграцию оптических соединений на уровне упаковки полупроводниковых чипов.

Американские сотрудники бегут из TSMC из-за 12-часовых смен и морального давления

Принято считать, что нехватка рабочей силы стала одной из причин задержки строительства предприятий TSMC в Аризоне, но осведомлённые источники поясняют, что и новоиспечённым сотрудникам американского предприятия компании приходится сталкиваться с непривычным для них обращением, которое на рынке труда США просто не принято.

 Источник изображения: TSMC

Источник изображения: TSMC

Как поясняет Tom’s Hardware, для тайваньских предприятий компании нормой являются 12-часовые смены и работа в выходные, а руководители нередко угрожают сотрудникам увольнением за минимальные провинности. Американский инженерный персонал, столкнувшийся с подобной практикой, не готов терпеть такое обращение, а потому некоторые сотрудники нового предприятия TSMC в Аризоне уже начали увольняться.

Многие из будущих сотрудников американского предприятия TSMC ещё в 2021 году были отправлены на Тайвань для стажировки, и там столкнулись со спецификой местной корпоративной культуры. Высокое психологическое давление на персонал и 12-часовые смены стали шоком для многих стажёров, а один из американских инженеров был удивлён тем, что его начальник в процессе стажировки не мог выделить конкретные приоритеты в работе, назвав все текущие задачи первостепенно важными.

Сверхурочная работа является нормой для TSMC. Ещё её основатель Моррис Чан (Morris Chang) приводил пример со сроками устранения неисправности оборудования: если оно выйдет из строя в час ночи, то в США его починят только следующим утром, а на Тайване всё будет готово к двум часам ночи. Сотрудникам постоянно приходится жертвовать личным временем ради интересов компании. Некоторые из стажёров в итоге ушли из TSMC ещё в период нахождения на Тайване, другие вернулись в США и пытались продолжить работу в компании, но не почувствовав перемен к лучшему, тоже уволились. Кому-то из них поручали несвойственную должности работу — например, уборку строительного мусора. Попытки приучить тайваньских руководителей не повышать голос на подчинённых на публике ни к чему хорошему не привели. Завышенные требования руководства привели к тому, что результаты тестов при проверке качества продукции просто фальсифицировались исполнителями.

Поскольку часть сотрудников TSMC, которые участвуют в строительстве американских предприятий, была командирована с Тайваня в Аризону, им с трудом удавалось находить общий язык с американскими коллегами, учитывая разницу в корпоративных культурах и традициях. Психологический климат внутри коллектива оставлял желать лучшего, и на эффективности работы это сказывалось негативно.

Новое землетрясение на Тайване заставило TSMC эвакуировать персонал чистых комнат

За вчерашний и сегодняшний дни на Тайване произошли не менее 103 землетрясений магнитудой от 4,0. Утром во вторник были зафиксированы два землетрясения магнитудой 6,0 и 6,3, из-за которых на заводах полупроводникового подрядчика TSMC «немедленно было эвакуировано небольшое количество персонала чистых комнат». По официальной версии, это были афтершоки после землетрясения магнитудой 7,2, которое произошло 3 апреля и привело к материальному ущербу и человеческим жертвам.

 Источник изображения: Maxence Pira / unsplash.com

Источник изображения: Maxence Pira / unsplash.com

TSMC эвакуировала сотрудников чистых комнат в качестве меры предосторожности, чтобы обеспечить их безопасность, и впоследствии они вернулись к работе. В экономическом и деловом аспектах никаких последствий для компании не ожидается, утверждает DigiTimes со ссылкой на собственные источники. Все производственные системы и системы безопасности TSMC работают в штатном режиме, а работникам ничто не угрожает. Возможно, в ближайшие часы TSMC выпустит официальное объявление, но если в ходе последних землетрясений никаких повреждений на предприятиях не было, этого может и не произойти.

Если последняя серия из 103 землетрясений не нанесёт значительного ущерба тайваньским технологическим гигантам, это будет большой удачей — первоначально TSMC оценила ущерб от инцидента 3 апреля в $60 млн, но при подробном анализе эта сумма выросла до $92,44 млн. Такая сумма сложилась из издержек, связанных с простоем заводов, ремонтом повреждённых трубопроводов, балок и колонн, трещинами в стенах и повреждёнными пластинами. На этот раз TSMC отделалась гораздо легче, утверждает источник. Другие технологические компании, включая Foxconn, Micron, UMC, Pegatron, Compal и Quanta Computer сведений об ущербе от землетрясения пока не предоставили.

Акции TSMC упали на 6,7 %, несмотря на хороший финотчёт — инвесторов напугал прогноз по темпам роста отрасли

Выручка и чистая прибыль TSMC по итогам первого квартала оказались выше ожиданий рынка, но на открытии торгов в пятницу акции компании на Тайване упали в цене на 6,7 %, поскольку руководство накануне имело неосторожность высказаться о тех трудностях, которые ожидают в текущем году полупроводниковую отрасль в целом.

 Источник изображения: TSMC

Источник изображения: TSMC

Напомним, что собственные перспективы TSMC на ближайшее время считает весьма хорошими. В частности, выручка по итогам второго квартала имеет шансы вырасти на 30 %, а по итогам всего года всё равно вырастет на величину от 21 до 26 %, как и планировалось три месяца назад. Зато генеральный директор компании Си-Си Вэй (C.C. Wei) стал придерживаться более пессимистичных прогнозов относительно темпов развития всей полупроводниковой отрасли.

Он признал, что каждый последующий квартал этого года для прочих участников рынка будет лучше предыдущего, но по итогам год выручка вырастет только на 10 %, хотя ещё три месяца назад он считал, что она преодолеет этот порог. В контрактном сегменте прирост выручки ограничится величиной от 14 до 19 % вместо изначальных 20 %. Конечно, если опираться на данные SIA, в прошлом году полупроводниковая отрасль в целом сократила выручку на 8,2 %, и в этом любой рост будет для неё желанным событием, но представители TSMC считают, что он будет скромнее запланированного в начале года.

Руководство TSMC выразило обеспокоенность и активной экспансией выпуска чипов по зрелым техпроцессам, которой сейчас занимаются китайские компании. Рано или поздно такая политика может привести к перепроизводству чипов. При этом за себя TSMC не переживает, поскольку у неё достаточно тесные отношения с клиентами, и они не станут переключаться на услуги конкурентов в сегменте зрелой литографии, но общая ситуация на рынке может ухудшиться для производителей.

Напомним, что TSMC вчера не стала пересматривать планируемую величину капитальных затрат на текущий год, сохранив её в диапазоне от $28 до $32 млрд. По мнению аналитиков, это может говорить о недостаточно быстром росте прибыли компании, из которой и должны в первую очередь финансироваться строительство новых предприятий и освоение новых техпроцессов.

Тайваньский фондовый рынок в целом отреагировал на отчётность и прогнозы TSMC снижением на 3,8 %, что стало для него максимальным снижением за день. Геополитическая напряжённость усугубила неочевидные перспективы роста выручки в полупроводниковом сегменте.

Основателю TSMC Моррису Чану (Morris Chang) сегодня тоже выпала возможность с публичной трибуны оценить текущую ситуацию в полупроводниковой отрасли и мировой экономике. По его словам, нынешнему руководству компании потребуется много мудрости, чтобы преодолевать трудности типа «умирающей глобализации», которая долгие годы позволяла компании получать выгоду от действия принципов свободной торговли. TSMC также придётся столкнуться с нехваткой земли, воды, энергии и кадровых ресурсов, как отметил основатель компании.

window-new
Soft
Hard
Тренды 🔥
Роскомнадзор меняет порядок работы «суверенного рунета» после масштабного сбоя 3 ч.
«Заплатил бы на 15 % больше»: Baldur’s Gate 3 получила в Steam самую большую скидку с момента релиза 7 ч.
3Logic локализует в России китайскую платформу Gitee и перенесёт 100 тыс. open source проектов 8 ч.
Ghost of Tsushima наконец вышла на ПК, а оверлей PlayStation звёзд с неба не хватает 9 ч.
Microsoft PC Manager начал настоятельно рекомендовать поисковик Bing 9 ч.
В TikTok появились 60-минутные видео, но загружать их могут не все 10 ч.
Масштабная мегараспродажа Epic Games Store началась с раздачи полного издания Dragon Age: Inquisition 10 ч.
Manor Lords превзошла «самые смелые» ожидания издателя — игра достигла новой вершины продаж 10 ч.
Датамайнер поделился подробностями следующей игры Valve — это героический PvP-шутер в мире фэнтезийного стимпанка 11 ч.
Ubisoft раскрыла стратегию на ближайшее будущее, но Watch Dogs в нём места не нашлось 12 ч.
За пять лет TSMC увеличит объёмы выпуска продукции по зрелым техпроцессам на 50 % 21 мин.
Intel прекращает выпуск флагманского чипа Ponte Vecchio и «уходит» в ИИ 3 ч.
Со следующего года Qualcomm перестанет снабжать Huawei своими компонентами 3 ч.
Новая статья: Обзор 57-дюймового игрового Mini-LED VA-монитора Samsung Odyssey Neo G95NC: с запасом на будущее 7 ч.
Шестое поколение ускорителей Google TPU v6 готово к обучению ИИ-моделей следующего поколения 8 ч.
TSMC запустит массовое производство по оптимизированному 3-нм техпроцессу N3P уже в этом году 9 ч.
Palit представила белые видеокарты GeForce RTX 4070 White и RTX 4060 Ti White 12 ч.
Сверхпрочный смартфон IIIF150 Air2 Ultra получил тонкий корпус, чип Dimensity 7050 и 64-Мп камеру с ночным видением 12 ч.
Чип Apple M4 в iPad Pro протестировали под жидким азотом — на 28 % быстрее M3 Max, но только в одноядерном тесте 12 ч.
TCL показала дисплей с 4K и 1000 Гц, но на деле это виртуальные герцы 13 ч.